SEMI OpenIR

浏览/检索结果: 共15条,第1-10条 帮助

限定条件                
已选(0)清除 条数/页:   排序方式:
无权访问的条目 期刊论文
作者:  Yu-ze Zhang, Li-yan Li, You-wan Tong, Hua-lin Zeng, Yan Zhou
Adobe PDF(698Kb)  |  收藏  |  浏览/下载:563/140  |  提交时间:2014/04/28
Directed XOR/XNOR logic circuit implemented by microring resonators: simulation and demonstration 会议论文
3RD INTERNATIONAL PHOTONICS AND OPTOELECTRONICS MEETINGS (POEM 2010), 276: Art. No. 012105 2011, Wuhan, PEOPLES R CHINA, NOV 02-05, 2010
作者:  Zhang L (Zhang Lei);  Ji RQ (Ji Ruiqiang);  Jia LX (Jia Lianxi);  Yang L (Yang Lin);  Zhou P (Zhou Ping);  Tian YH (Tian Yonghui);  Chen P (Chen Ping);  Lu YY (Lu Yangyang);  Jiang ZY (Jiang Zhenyu);  Liu YL (Liu Yuliang)
Adobe PDF(784Kb)  |  收藏  |  浏览/下载:2675/617  |  提交时间:2011/07/15
无权访问的条目 期刊论文
作者:  张勤;  张建勇;  曾华林;  李丽艳;  周燕
Adobe PDF(1147Kb)  |  收藏  |  浏览/下载:1417/541  |  提交时间:2012/07/17
无权访问的条目 期刊论文
作者:  Meng, Xue;  Li, Li-Yan;  Zeng, Hua-Lin;  Zhou, Yan;  Meng, X.
Adobe PDF(505Kb)  |  收藏  |  浏览/下载:786/158  |  提交时间:2012/06/14
无权访问的条目 期刊论文
作者:  Yang, Lin;  Ji, Ruiqiang;  Zhang, Lei;  Tian, Yonghui;  Ding, Jianfeng;  Chen, Hongtao;  Lu, Yangyang;  Zhou, Ping;  Zhu, Weiwei;  Yang, L.(oip@semi.ac.cn)
Adobe PDF(570Kb)  |  收藏  |  浏览/下载:1200/455  |  提交时间:2012/06/14
无权访问的条目 期刊论文
作者:  Ding, Jianfeng;  Chen, Hongtao;  Ji, Ruiqiang;  Yang, Lin;  Tian, Yonghui;  Zhang, Lei;  Zhu, Weiwei;  Lu, Yangyang;  Min, Rui;  Zhou, Ping;  Yang, L.(oip@semi.ac.cn)
Adobe PDF(768Kb)  |  收藏  |  浏览/下载:1039/333  |  提交时间:2012/06/14
无权访问的条目 期刊论文
作者:  雷平顺;  薛力芳;  何军;  曾华林;  付跃刚;  周燕
Adobe PDF(368Kb)  |  收藏  |  浏览/下载:2428/826  |  提交时间:2011/08/16
无权访问的条目 期刊论文
作者:  雷平顺;  薛力芳;  何军;  曾华林;  付跃刚;  周燕
Adobe PDF(496Kb)  |  收藏  |  浏览/下载:1605/497  |  提交时间:2011/08/16
一种用于振动检测的调制解调系统及方法 专利
专利类型: 发明, 专利号: CN200910241696.6, 公开日期: 2011-08-31
发明人:  李丽艳;  曾华林;  周燕;  何军
Adobe PDF(505Kb)  |  收藏  |  浏览/下载:1766/265  |  提交时间:2011/08/31
语音监听的设备和方法 专利
专利类型: 发明, 专利号: CN102496362A, 公开日期: 2012-09-07, 2012-09-07, 2012-09-07
发明人:  曾华林;  周燕;  何军;  李丽艳;  张勤
Adobe PDF(426Kb)  |  收藏  |  浏览/下载:1250/314  |  提交时间:2012/09/07